简易通用型PCI接口的VHDL-CPLD设计投诉举报
简易通用型PCI接口的VHDL-CPLD设计
简易通用型PCI接口的VHDL-CPLD设计.doc
点击下载文
文档为doc格式
下载本文到电脑,方便收藏和打印
1、下载的文档为doc格式,下载后可用Word文档或者wps打开进行编辑;
2、若打开文档排版布局出现错乱,请安装最新版本的word/wps软件;
3、下载时请不要更换浏览器或者清理浏览器缓存,否则会导致无法下载成功。
4、下载后的文档,内容与下面显示的完全一致。下载之前请确认下面内容是否您想要的,是否完整无缺。
简易通用型PCI接口的VHDL-CPLD设计简易通用型PCI接口的VHDL-CPLD设计

简易通用型PCI接口的VHDL-CPLD设计

简易通用型PCI接口的VHDL-CPLD设计

摘要:从PCI时序分析入手,重点阐述了PCI通用的状态机设计,说明了用VHDL语言来实现本PIC通信状态机的软件设计以及进行MaxPlusII验证的程序和方法。用该方法所设计的接口既可支持PCI常规传输,又可支持PCI猝发传输。关键词:PCI时序CPLD器件状态图VHDL语言PCI猝发传输用CPLD设计所构成的CPI接口系统具有简洁、可靠等优点,是一种行之有效的设计途径。很多技术杂志和网站上,都有不少用CPLD设计PCI常规传输系统的文章。但用这些方法在MzxPlusII、Fundition等环境下进行模拟仿真时,其产生的时序往往与PCI规范有很大出入。虽然Altera等公司推出PCI核可以直接使用,但这样的内核占用CPLD资源较多,且能适配的器件种类少,同时价格也高,在实际设计应用中有很大的局限性。因此,使用通用型CPLD器件设计简易型PCI接口有很大的现实意义。在Compact接口的CPLD设计中,笔者根据PCI总线传输时序来进行状态机构造,并使用VHDL语言进行功能模拟和定时分析,从而达到了预期目的。用该方法设计的CPLD-PCI接口既可支持PCI常规传输,也可支持PCI猝发传输,而且在系统编程和下载器件方面,效果也都很好。1典型的CPLD-PCI接口模型简介用CPLD作PCI接口所构成的系统模型如图1所示。这里,CPLD/FPGA用于完成PCI主/从传输时序的逻辑构成与变换,并对双口RAM进行适当操作。在整个系统的设计中,CPLD常常使用PCI总线的33MHz时钟,双口RAM常常选用高速器件来简化PCI传输的逻辑设计。2PCI总线传输时序分析PCI总线传输至少需要40多条信号线,包括数据/地址线、接口控制线、仲裁、总线命令及系统线等。每次数据传输均由一个地址脉冲和一个或几个数据脉冲组成。一次传输一个地址和一个数据的称为常规传输;一次传输一个地址和一批数据的称为猝发传输。常用的控制信号有:帧同步信号FRAME、主设备准备好信号IRDY、从设备准备好信号TRDY、从设备选通信号DEVSEL、命令/字节信号C/BE等。图2和图3分别给出了PCI单数据段和猝发操作时的读写时序。分析PCI总线的传输时序,可以看出,PCI总线传输有以下几个显著特点:(1)每次数据传输时首先传出地址和命令字,从设备一般可从地址中确定是不是对本机的访问,并确定访问的首地址;而从设备则从命令字中识别该访问是读操作还是写操作;(2)读写访问只有在信号IRDY、TRDY、DEVSEL都为低状态时才能进行;(3)猝发传输通常需要通过逻辑来实现地址的自动递加;(4)主从设备中任一方没有准备好,操作中都需要能够引起等待状态插入的活动;(5)系统通常在帧同步信号FRAME的下降沿诱发数据传输,而在上升沿指明只有一个数据或只剩下一个数据;(6)读操作比写操作多一个中间准备过程。图23基于CPLD的状态机设计3.1状态机的构造根据对上述时序图的分析,完成一个简易PCI总线传输需要设计六个状态:S0~S5,其中状态S0标识PCI总线空闲时期;状态S1标识地址与总线命令识别阶段;状态S2标识读操作入口的准备阶段;状态S3标识读/写访问周期;状态S4标识最后一个数据传输阶段;状态S5标识操作中的等待时期。3.2状态功能的确定各状态所应执行的功能如下:状态S0~S2用于对PCI总线置高信号TRDY和DEVSEL;对双口RAM则置高片选信号CS,以使读/写信号处于读状态,此时地址呈现三态。此外,在S1态还应依据地址信号来确定是不是对本机的选择,并识别是不是读或写操作。状态S3~S4用于对PCI总线置低信号TRDY和DEVSEL;对双口RAM则产生片选信号CS、读或写信号,同时确定适当的读写访问地址。状态S5用于对PCI总线置低信号TRDY和DEVSEL;并且对双口RAM置高片选信号CS,以使读/写信号处于读状态,此时地址呈现三态。3.3状态变化的确定根据对PCI总线传输时序的分析,影响各个状态相互转化的因素是:帧同步信号FRAME、主设备准备好信号IRDY、从设备选择信号CS-MAP、读识别信号READ以及写识别信号WRITE。这里,可用CS-MAP、READ、WRITE来标识状态S1产生的中间识别信号。图3需要注意,在状态S1时要寄存收到的首地址,而在状态S3变化时要适时进行地址递增。还要注意状态机设计时产生的容错问题,以便在非设计状态下能够无条件回到空闲态S0。由于采用的是高速双口RAM,并且规划分开了RAM两侧的写操作区域,因此可以认为:RAM是可以任意访问的。3.4状态图的规划综上所述便可得出如图4所示的设计规划图。4VHDL语言的描述设计时,使用三个进程和几个并行语句可实现整个CPLD的功能:一个进程用于完成从设备及其读写操作的识别;一个进程用于完成操作地址的获取与地址的递增;第三个进程完成状态机的变化。用几个并行语句完成操作信号的产生时,需要注意,各状态所完成的功能要用并行语句实现,不能再用进程,否则就会引起逻辑综合的麻烦,有时甚至根本不能综合。整个程序如下:LIBRARYieee;USEieee.std_logic_1164.All;USEieee.std_logic_unsigned.ALL;ENTTTYcpciISPORT(clk,rst,frame,irdy:INSTD_LOGIC;ad_high:INSTD_LOGIC_VECTOR(31downto24);ad_low:INSTD_LOGIC_VECTOR(12downto0);c_be:INSTD_LOGIC_VECTOR(3downto0);trdy,devsel:OUTSTD_LOGIC;cs,r_w:OUTSTD-LOGIC;addr:OUTSTD_LOGIC_VECTOR(12downto0);ENDcpci;ARCHITECTUREbehaveOFcpciISSIGNALaddr_map:STD_LOGIC_VECTOR(12downto0);SIGNALread,write,cs-map:STD_LOGIC;TYPEstate_typeIS(s0,s1,s2,s3,s4,s5);SIGNALstate:state_type;BEGINIdentify:PROCESS(clk)--读、写、从设备的识别BEGINIFrising_edge(clk)THENIFc_be=X"6"ANDad_high=X"50"ANDstate=s1HTENread<='0';--读write<='1';cs_map<='0';ELSIFc_be=X"7"ANDad_high=X"50"ANDstate=s1THENread<='1';--写write<='0';cs_map<='0';ELSIFstate=s0THENread<='1';write<='1';cs_map<='1';ENDIF;ENDIF;ENDPROCESS;Addr_count:PROCESS(clk)--操作地址的获取与地址的递增BEGINIFfalling_edge(clk)THENIFstate=s1THENaddr_map<=ad-low;ELSIFstate=s3THENaddr_map<=addr-map+1;ENDIF;ENDIF;ENDPROCESS;--操作信号的产生addr<=addr-mapWHENstate=s3ORstate=s4ELSE"ZZZZZZZZZZZZZ"trdy<='0'WHENstate=s3ORstate=s4ORstate=s5ELSE'1';devsel<='0'WHENstate=s3ORstate=s4ORstate=s5ELSE'1';cs<='0'WHENstate=s3ORstate=s4ELSE'1';r-w<=NOTclkWHENwrite='0'AND(state=s3ORstate=s4)ELSE'1';state-change:PROCESS(clk,rst)--状态机的变化BEGINIFrst='0'THENstate<=s0;ELSIFfalling-edge(clk)THENCASEstateISWHENs0=>IFframe='1'ANDirdy='1'THENstate<=s0;ELSIFframe='0'ANDirdy='1'THENstate<=s1;ENDIF;WHENs1=>IFcs_map='1'OR(read='1'ANDwrite='1')THENstate<=s0;ELSIFirdy='1'ANDread='0'THENstate<=s2;ELSIFframe='0'ANDirdy='0'ANDwrite='0'THENstate<=s3;ELSIFframe='1'ANDirdy='0'ANDwrite='0'THENstate<=s4;ENDIF;WHENs2=>IFframe='1'ANDirdy='1'THENstate<=s0;ELSIFframe='0'ANDirdy='0'ANDread='0'THENstate<=s3;ELSIFframe='1'ANDirdy='0'ANDread='0'THENstate<=s4;ENDIF;WHENs3=>IFframe='1'ANDirdy='1'THENstate<=s0;ELSIFframe='0'ANDirdy='1'THENstate<=s5;ELSIFframe='1'ANDirdy='0'THENstate<=s4;ELSIFframe='0'ANDirdy='1'THENstate<=s3;ENDIF;WHENs4=>ELSIFframe='1'ANDirdy='0'THENstate<=s4;ENDIF;WHENs5=>IFframe='1'ANDirdy='1'THENstate<=s0;ELSIFframe='0'ANDirdy='0'THENstate<=s3;ELSIFframe='1'ANDirdy='0'THENstate<=s4;ELSEstate<=s5;ENDIF;WHENOTHERS=>state<=s0;ENDCASE;ENDIF;ENDPROCESSstate_change;ENDbehave。图55MaxPlusII的验证设计CPLD时,可使用MaxPlusII软件来进行逻辑综合、功能模拟与定时分析。本例选用Altera的Max7000系列在系统可编程器件EPM7064SLC84-5。图5所示是其读写访问的仿真波形图。